An 2.31uJ/Inference Ultra-Low Power Always-On Event-Driven AI-IoT SoC With Switchable nvSRAM Compute-in-Memory Macro | IEEE Journals & Magazine | IEEE Xplore