1-5 June 2014
Filter Results
-
[Front cover]
Publication Year: 2014, Page(s): 1|
PDF (67 KB)
-
[Copyright notice]
Publication Year: 2014, Page(s): 1|
PDF (881 KB)
-
Verification of non-mainline functions in todays processor chips
Publication Year: 2014, Page(s):1 - 3In a modern chip development cycle non-mainline / non-functional verification is gaining importance compared to traditional functional verification tasks and takes up to one third of the total verification effort. The purpose of non-mainline logic is to operate, maintain, and debug the chip. Ever-increasing complexity of the chip, thus, directly affects the complexity of the non-mainline logic and... View full abstract»
-
Validation of SoC firmware-hardware flows: Challenges and solution directions
Publication Year: 2014, Page(s):1 - 4
Cited by: Papers (3)In SoC, key infrastructure/backbone flows are distributed across many IPs and involve tight firmware and hardware interaction. Examples include resets, power management, security, and more. Traditional hardware validation techniques are no-longer adequate for such flows, due to the short time-to-market requirements, in particular, for mobile devices. In this paper, we articulate the challenges and... View full abstract»
-
Architecting dynamic power management to be formally verifiable
Publication Year: 2014, Page(s):1 - 3Many computer systems employ dynamic power management (DPM) to maximize power efficiency. DPM offers great opportunities, but deploying it carries significant risks if the DPM scheme is not completely verified. We propose architecting the DPM scheme such that it can be formally verified regardless of the size of the system. View full abstract»
-
On enhancing power benefits in 3D ICs: Block folding and bonding styles perspective
Publication Year: 2014, Page(s):1 - 6
Cited by: Papers (15) | Patents (1)Low power is widely considered as a key benefit of 3D ICs, yet there have been few thorough design studies on how to maximize power benefits in 3D ICs. In this paper, we present design methodologies to reduce power consumption in 3D ICs using a large-scale commercial-grade microprocessor (OpenSPARC T2). To further improve power benefits in 3D ICs on top of the traditional 3D floor-planning, we stu... View full abstract»
-
Floorplanning and signal assignment for silicon interposer-based 3D ICs
Publication Year: 2014, Page(s):1 - 6
Cited by: Papers (2)Interposer-based 3D ICs (or known as 2.5D ICs) have been seen as an alternative approach to true 3D stacked ICs, which mount multiple dies on a silicon interposer and route signals between dies by the interconnects in the interposer. However, the floorplan of dies on the interposer and the signal assignment for macro-bumps and TSVs will largely impact the wirelength of the interconnects in a 2.5D ... View full abstract»
-
On timing closure: Buffer insertion for hold-violation removal
Publication Year: 2014, Page(s):1 - 6
Cited by: Papers (5)Timing closure, which is to meet the design's timing constraints, is a key problem in the physical design flow. During the timing optimization process, buffers can be used to speedup the circuit or serve as delay elements. In this paper, we study the hold-violation removal problem for today's industrial designs. Discrete buffers, accurate timing models/analysis, and complex timing constraints make... View full abstract»
-
Post-routing latch optimization for timing closure
Publication Year: 2014, Page(s):1 - 6
Cited by: Papers (3)We present an algorithm which permutes latch positions and sizes within a clock cluster to maximize the worst slack. It preserves the clock footprint and routing, and can therefore be applied late in the design flow after clock network design. View full abstract»
-
Contactless stacked-die testing for pre-bond interposers
Publication Year: 2014, Page(s):1 - 6A stacked-die product integrates multiple dies on interposers. In this paper, we first discuss the difficulties of traditional testing mechanism for interposers. To improve production yield, a contactless testing mechanism for pre-bond interposers is proposed. Our testing mechanism attempts to detect a defective interposer from the thermal image after heating the interposer. We propose to extract ... View full abstract»
-
Leveraging pre-silicon data to diagnose out-of-specification failures in mixed-signal circuits
Publication Year: 2014, Page(s):1 - 6
Cited by: Papers (1)Diagnosing out-of-specification failures in mixed-signal circuits has become increasingly challenging due to: (1) failures caused by interactions between input-signal conditions and design uncertainties, and (2) the need to identify critical input and uncertainty conditions that cause these regions. We propose a simulation-driven approach that first uses ensemble learning to extract if - then rule... View full abstract»
-
One-shot calibration of rf circuits based on non-intrusive sensors
Publication Year: 2014, Page(s):1 - 6
Cited by: Papers (10)We propose a post-fabrication calibration technique for RF circuits that is performed during production testing with minimum extra cost. Calibration is enabled by equipping the circuit with tuning knobs and sensors. Optimal tuning knob identification is achieved in one-shot based on a single test step that involves measuring the sensor outputs once. For this purpose, we rely on variation-aware sen... View full abstract»
-
On using implied values in EDT-based test compression
Publication Year: 2014, Page(s):1 - 6
Cited by: Papers (1)On-chip test compression has quickly established itself as one of the mainstream design-for-test (DFT) methodologies. It assumes that a tester delivers test patterns in a compressed form, and on-chip decompressors expand them into actual data loaded into scan chains. This paper presents a new and comprehensive method to boost performance of sequential test compression and ATPG operations. The appr... View full abstract»
-
ASER: Adaptive soft error resilience for Reliability-Heterogeneous Processors in the dark silicon era
Publication Year: 2014, Page(s):1 - 6
Cited by: Papers (18)The Dark Silicon provides opportunities to realize Reliability-Heterogeneous Processors with ISA compatible cores having different levels of protection against reliability threats (like soft errors). This paper presents design-time customization of Reliability-Heterogeneous Processors given a set of applications and area constraints. A run-time system adaptively manages the soft error resilience u... View full abstract»
-
Quantitative analysis of Control Flow Checking mechanisms for soft errors
Publication Year: 2014, Page(s):1 - 6
Cited by: Papers (7)Control Flow Checking (CFC) based techniques have gained a reputation of providing effective, yet low-overhead protection from soft errors. The basic idea is that if the control flow - or the sequence of instructions that are executed - is correct, then most probably the execution of the program is correct. Although researchers claim the effectiveness of the proposed CFC techniques, we argue that ... View full abstract»
-
An efficient real time fault detection and tolerance framework validated on the intel SCC processor
Publication Year: 2014, Page(s):1 - 6
Cited by: Papers (1)We present a new framework that efficiently detects and tolerates timing faults in real time systems. Timing faults are observed when the inputs and/or outputs of a given system fail to meet their desired timing properties, such as I/O rates. Most current approaches either rely on heartbeat monitoring which is too restrictive; or on statistical or inexact methods which are not suitable for embedde... View full abstract»
-
Multi-objective local-search optimization using reliability importance measuring
Publication Year: 2014, Page(s):1 - 6
Cited by: Papers (3)In recent years, reliability has become a major issue and objective during the design of embedded systems. Here, different techniques to increase reliability like hardware-/software-based redundancy or component hardening are applied systematically during Design Space Exploration (DSE), aiming at achieving highest reliability at lowest possible cost. Existing approaches typically solely provide re... View full abstract»
-
eButton: A wearable computer for health monitoring and personal assistance
Publication Year: 2014, Page(s):1 - 6
Cited by: Papers (6)Recent advances in mobile devices have made profound changes in people's daily lives. In particular, the impact of easy access of information by the smartphone has been tremendous. However, the impact of mobile devices on healthcare has been limited. Diagnosis and treatment of diseases are still initiated by occurrences of symptoms, and technologies and devices that emphasize on disease prevention... View full abstract»
-
Ultra-low power design of wearable cardiac monitoring systems
Publication Year: 2014, Page(s):1 - 6
Cited by: Papers (4)This paper presents the system-level architecture of novel ultra-low power wireless body sensor nodes (WBSNs) for real-time cardiac monitoring and analysis, and discusses the main design challenges of this new generation of medical devices. In particular, it highlights first the unsustainable energy cost incurred by the straightforward wireless streaming of raw data to external analysis servers. T... View full abstract»
-
Exploiting shaper context to improve performance bounds of Ethernet AVB networks
Publication Year: 2014, Page(s):1 - 6
Cited by: Papers (10)New hard real-time Advanced Driver Assistance Systems such as the Collision-Avoidance System push the bandwidth requirements of the communication infrastructure to a new level. Controller Area Network (CAN) and FlexRay are reaching their limits. Ethernet-based automotive networks such as Ethernet AVB are capable of addressing these requirements. However, designing predictable Ethernet networks is ... View full abstract»
-
An efficient wire routing and wire sizing algorithm for weight minimization of automotive systems
Publication Year: 2014, Page(s):1 - 6
Cited by: Papers (3)As the complexities of automotive systems increase, designing a system is a difficult task that cannot be done manually. In this paper, we propose an algorithm for weight minimization of wires used for connecting electronic devices in a system. The wire routing problem is formulated as a Steiner tree problem with capacity constraints, and the location of a Steiner vertex is selected for adding a s... View full abstract»
-
Schedule integration framework for time-triggered automotive architectures
Publication Year: 2014, Page(s):1 - 6
Cited by: Papers (7)Automotive Electrical/Electronic (E/E)-architectures consist of various components which are generally developed independently. Due to the increasing size and complexity, component integration is highly challenging and already slight modifications to components or subsystems often require expensive re-testing and re-validation. As a remedy, we propose a framework for modular architectures based on... View full abstract»
-
Aspect-oriented modeling of attacks in automotive Cyber-Physical Systems
Publication Year: 2014, Page(s):1 - 6
Cited by: Papers (9)This paper introduces aspect-oriented modeling (AOM) as a powerful, model-based design technique to assess the security of Cyber-Physical Systems (CPS). Particularly in safety-critical CPS such as automotive control systems, the protection against malicious design and interaction faults is paramount to guaranteeing correctness and reliable operation. Essentially, attack models are associated with ... View full abstract»
-
Containing timing-related certification cost in automotive systems deploying complex hardware
Publication Year: 2014, Page(s):1 - 6
Cited by: Papers (8)Measurement-Based Probabilistic Timing Analysis (MBPTA) techniques simplify deriving tight and trustworthy WCET estimates for industrial-size programs running on complex processors. MBPTA poses some requirements on the timing behaviour of the hardware/software platform: execution times of end-to-end runs have to be independent and identically distributed (i.i.d.). Hardware and software solutions h... View full abstract»
-
Translation validation for stateflow to C
Publication Year: 2014, Page(s):1 - 6Code generators play a critical role in the Model Based Development of complex software systems. This is particularly true in the automotive domain, where the code auto-generated from Simulink/Stateflow models is directly flashed onto embedded controllers. Testing based approaches are popular for validating the translation of models to code. However, these approaches cannot guarantee the absence o... View full abstract»