Tackling test trade-offs for BIST RTL data paths: BIST area overhead, test application time and power dissipation | IEEE Conference Publication | IEEE Xplore