A 0.8V, 9ns, 0.77mW at 50MHz, 128kb, four-way, set-associative, 2-level CMOS cache memory using two-stage WLOTC/BLOTC tag-compare scheme and sense wordlines/bitlines (SWL/SBL) tag sense amps with an 8-T tag cell in level 2 and a 10-T shrunk logic swing (SLS) memory cell with the ground/floating (G/F) data sense amp in level 1 | IEEE Conference Publication | IEEE Xplore