ML-based Fast On-Chip Transient Thermal Simulation for Heterogeneous 2.5D/3D IC Designs | IEEE Conference Publication | IEEE Xplore