CDS-RSRAM: a Reconfigurable SRAM Architecture to Reduce Read Power with Column Data Segmentation | IEEE Conference Publication | IEEE Xplore