Securing Emerging Nonvolatile Main Memory With Fast and Energy-Efficient AES In-Memory Implementation | IEEE Journals & Magazine | IEEE Xplore