Stitch: Fusible Heterogeneous Accelerators Enmeshed with Many-Core Architecture for Wearables | IEEE Conference Publication | IEEE Xplore