DarkMem: Fine-grained power management of local memories for accelerators in embedded systems | IEEE Conference Publication | IEEE Xplore