An Investigation of Power-Performance Aware Accelerator/Core Allocation Challenges in Dark Silicon Heterogeneous Systems | IEEE Conference Publication | IEEE Xplore