A Variation-Aware Adaptive Fuzzy Control System for Thermal Management of Microprocessors | IEEE Journals & Magazine | IEEE Xplore