Current-mode simultaneous bidirectional transceiver for on-chip global interconnects | IEEE Conference Publication | IEEE Xplore