Multiple-Bit Upset Protection in Microprocessor Memory Arrays Using Vulnerability-Based Parity Optimization and Interleaving | IEEE Journals & Magazine | IEEE Xplore