Ravel-XL: a hardware accelerator for assigned-delay compiled-code logic gate simulation | IEEE Journals & Magazine | IEEE Xplore