iCFP: Tolerating all-level cache misses in in-order processors | IEEE Conference Publication | IEEE Xplore