A Scan-Chain-Based Built-in Self-Test for ILV in Monolithic 3-D ICs | IEEE Journals & Magazine | IEEE Xplore