Heterogeneous Die-to-Die Interfaces: Enabling More Flexible Chiplet Interconnection Systems | IEEE Conference Publication | IEEE Xplore