Lightweight Encryption Using Chaffing and Winnowing with All-or-Nothing Transform for Network-on-Chip Architectures | IEEE Conference Publication | IEEE Xplore