Snafu: An Ultra-Low-Power, Energy-Minimal CGRA-Generation Framework and Architecture | IEEE Conference Publication | IEEE Xplore