DiffTune: Optimizing CPU Simulator Parameters with Learned Differentiable Surrogates | IEEE Conference Publication | IEEE Xplore