HotSniper: Sniper-Based Toolchain for Many-Core Thermal Simulations in Open Systems | IEEE Journals & Magazine | IEEE Xplore