Camouflage: Memory Traffic Shaping to Mitigate Timing Attacks | IEEE Conference Publication | IEEE Xplore