SmartScan - Hierarchical test compression for pin-limited low power designs | IEEE Conference Publication | IEEE Xplore