Timing driven power gating in high-level synthesis | IEEE Conference Publication | IEEE Xplore