Design of fixed-point rounding operators for the VHDL-2008 standard | IEEE Conference Publication | IEEE Xplore