XPoint cache: Scaling existing bus-based coherence protocols for 2D and 3D many-core systems | IEEE Conference Publication | IEEE Xplore