A 20-Gb/s Simultaneous Bidirectional Transceiver Using a Resistor-Transconductor Hybrid in 0.11-- CMOS | IEEE Journals & Magazine | IEEE Xplore