HotSpot: a compact thermal modeling methodology for early-stage VLSI design | IEEE Journals & Magazine | IEEE Xplore