Temperature management for heterogeneous multi-core FPGAs using adaptive evolutionary multi-objective approaches | IEEE Conference Publication | IEEE Xplore