Current source based standard-cell model for accurate timing analysis of combinational logic cells | IEEE Conference Publication | IEEE Xplore