A DLL-based programmable clock generator using threshold-trigger delay element and circular edge combiner | IEEE Conference Publication | IEEE Xplore