On validating data hold times for flip-flops in sequential circuits | IEEE Conference Publication | IEEE Xplore