SAT based low power scheduling and module binding with clock gating | IEEE Conference Publication | IEEE Xplore