Energy efficient in-memory AES encryption based on nonvolatile domain-wall nanowire | IEEE Conference Publication | IEEE Xplore