Basic entities for motor control implemented in VHDL | IEEE Conference Publication | IEEE Xplore