Using a soft core in a SoC design: experiences with picoJava | IEEE Journals & Magazine | IEEE Xplore