Warped gates: Gating aware scheduling and power gating for GPGPUs | IEEE Conference Publication | IEEE Xplore