KitFox: Multiphysics Libraries for Integrated Power, Thermal, and Reliability Simulations of Multicore Microarchitecture | IEEE Journals & Magazine | IEEE Xplore