3-D WiRED: A Novel WIDE I/O DRAM With Energy-Efficient 3-D Bank Organization | IEEE Journals & Magazine | IEEE Xplore