System-level memory optimization for high-level synthesis of component-based SoCs | IEEE Conference Publication | IEEE Xplore