LCTI–SS: Low-Clock-Tree-Impact Scan Segmentation for Avoiding Shift Timing Failures in Scan Testing | IEEE Journals & Magazine | IEEE Xplore