Workload-aware voltage regulator optimization for power efficient multi-core processors | IEEE Conference Publication | IEEE Xplore