Double-Data-Rate, Wave-Pipelined Interconnect for Asynchronous NoCs | IEEE Journals & Magazine | IEEE Xplore