Using virtual load/store queues (VLSQs) to reduce the negative effects of reordered memory instructions | IEEE Conference Publication | IEEE Xplore